SolidWorks机械工程师网——最大的SolidWorks学习平台

标题: 请问floworks的(PE)和(STD)有什么区别 [打印本页]

作者: seachair    时间: 2009-2-6 11:14
标题: 请问floworks的(PE)和(STD)有什么区别
刚装好floworks,起动时要选PE或STD后OK。不懂这是什么意思,有什么区别,请高手赐教

作者: 19720205    时间: 2009-2-6 11:17
不懂,没搞过
作者: 松古韵    时间: 2009-2-6 11:27
标准版和专业版。似乎差别已经不大了。
作者: 冰河之花    时间: 2009-2-6 11:32
谢三楼!!在几个论坛问过,未果。




欢迎光临 SolidWorks机械工程师网——最大的SolidWorks学习平台 (https://www.swbbsc.com/) Powered by Discuz! X3.2